約 289,417 件
https://w.atwiki.jp/gamemusicbest100/pages/8050.html
波形メモリ音源 Wavetable synthesis Max Mathewsがベル研究所で開発した、MUSIC IIの一部として搭載されたものが原型とされる。 任意に作成した短い波形をループして発音することで、矩形波以外の音色を発音できる。 PSGの尖った音に比べ、柔らかい音や丸みのある音を表現できるようになった。 代表的なサウンドチップ ナムコ任意波形発生回路最大同時発音数:3チャンネル (『ポールポジション』シリーズでは実質6チャンネルステレオ (*1) ) 量子化ビット数:4bit (16段階) ループあたりのサンプル数:32 石村繁一氏による回路設計 『パックマン』から『ゼビウス』まで使用 他社作品ではあるが『ペンゴ』(コアランド/セガ)、『ポンポコ』(セイブ電子/シグマ)でも採用 カスタム15 (C15)任意波形発生回路を1チップに集約したもの 最大同時発音数:8チャンネル 小川徹氏による開発設計 『スーパーパックマン』から使用 『グロブダー』では音声合成の再生も実現している カスタム30 (C30)C15まではメインプログラム側でしか制御できなかったノイズがサウンドプログラム側で設定可能に 16チャンネルモードもあったが、時分割処理で音質が悪くなるためにほとんど使用されなかったという (*2) 『パックランド』や『ドラゴンバスター』などから使用 『バラデューク』では音声合成の再生も実現している システム86(『ホッピングマッピー』など)でも使用 システムI(『プロ野球ワールドスタジアム』など)に使用されたものはステレオ出力に対応 ナムコ開発内では「カスタムサンマル」と呼ばれていた (*3) カスタム140 (C140)最大同時発音数:24チャンネル (それぞれ単純波形 (*4) のループとワンショットPCMとを選択可能) 量子化ビット数:12bit (4096段階) 小川徹氏による設計 C30の思想を発展させたカスタム音源 デジタル処理による音量の掛け算、ステレオデータ加算を実現 大容量のメモリに対応しており、リズム音色などのサンプリング音源とのハイブリット音源となっている システムIIより採用。初登場はそれをベースにしたカスタム基板の『ファイナルラップ』 NA-1, NA-2基板で採用されたC219では、最大同時発音数が16に削減されている 163通称N106そのような名称のチップが実際にあるわけではない ファミコンタイトルに搭載されたメモリコントローラチップファミコンのアドレス空間を広げるためのものだが、スペースが余りサウンド機能を付けたもの (*5) 最大同時発音数:1~8チャンネル (可変)音質を担保するため4チャンネルまでの使用としている作品が多い 量子化ビット数:4bit (16段階) ループあたりのサンプル数:64 (8チャンネルの場合)~120 (1チャンネルの場合) 石村繁一氏による設計 ファミコンソフトの『えりかとさとるの夢冒険』、『マッピーキッズ』、『女神転生II』などで音源部を使用 搭載していても音源部を使用されていない作品もある厳密には『スターウォーズ』にのみ搭載された129が先で、音源の仕様も163とは若干異なるようだが、未使用 後継チップにあたる340 (『ワギャンランド2』など)や175 (『ファミスタ 91』など)では、音源回路は削除されている 新日本企画名称不明 ("SNK Wave"と呼ばれることがある)最大同時発音数:1チャンネル 量子化ビット数:3bit (符号なし8段階)ループごとの波形が点対称の形となるため、実質的には符号あり16段階といえる ループあたりのサンプル数:16 『マービンズメイズ』では足音などの効果音に使用 『ヴァンガードII』では対空ショットなどの効果音やエクステンドジングルに使用 テーカン『SENJYO』基板の低音回路ヒューズROMに書き込んだ波形をタイマーICで読み出している ラダー抵抗を使用したDACでアナログ化している のちに『スターフォース』でも使用 コナミ005289最大同時発音数:2チャンネル 量子化ビット数:4bit (16段階) ループあたりのサンプル数:32 バブルシステム(『ツインビー』、『グラディウス』など)で使用 051649正式名称はSound Creative Chip (SCC) コナミが東芝と共同開発した波形メモリ音源兼メモリーバンク制御チップ コナミ開発二部の上原和彦氏、春木豊氏らにより開発 (*6) ディスクシステムに搭載されたような音源をMSXにも欲しいという話が開発のきっかけ (*4) 最大同時発音数:5チャンネル 量子化ビット数:4bit (16段階) ループあたりのサンプル数:64 チャンネル4,5で使用する波形を共有 音程データはPSGとコンパチで、データの相互共有を容易にしている 『シティボンバー』、『にゃんにゃんパニック』、MSX用ゲーム、キッズメダル機などで使用 052539SCC-I 2312P001, SCC+などの呼称がある (*7) チャンネル4,5で個別の波形を設定可能になったSCC MSX2版『スナッチャー』、『SDスナッチャー』に付属したSCCカートリッジに使用 任天堂RP2C33ファミリーコンピュータ ディスクシステム 任天堂とリコーの共同開発 最大同時発音数:1チャンネル 量子化ビット数:6bit (64段階) ループあたりのサンプル数:64 位相変調機能あり ゲームボーイ / ゲームボーイアドバンス波形メモリ音源を搭載している 最大同時発音数:1チャンネル 量子化ビット数:4bit (16段階) ループあたりのサンプル数:32 バーチャルボーイVSU (Virtual Sound Unit) と呼ばれる内蔵音源に波形メモリ音源を搭載している 最大同時発音数:6チャンネル (ノイズ専用のチャンネル6を含む)チャンネル1,2,3,4:波形メモリ チャンネル5:波形メモリ (スイープまたはモジュレーションを適用可) チャンネル6:ノイズ 量子化ビット数:6bit (64段階) ループあたりのサンプル数:32 チャンネルごとで左右のそれぞれのスピーカに16段階の音量を設定可 エンベロープを適用可能 ハドソンHuC6280PCエンジンに搭載 65C02をカスタムし拡張したもの 最大同時発音数:6チャンネルチャンネル1,2:波形メモリ2チャンネルまたはFM1チャンネル チャンネル3,4:波形メモリ2チャンネル チャンネル5,6:波形メモリ(メロディまたはノイズ)2チャンネル 量子化ビット数:5bit (32段階)(対数変換方式) ループあたりのサンプル数:32 LFOを内蔵 各チャンネルはCPUが書き込んだ値をそのまま出力するダイレクトD/A(DDA)モードにも設定可能 アーケード版『ならず者戦闘部隊 ブラッディウルフ』でも音源として使用 (*8) 『スーパーメダルファイターズ』、『フィッシングマスター』といった業務用メダルゲームでも採用 HuC6230PC-FXに搭載 HuC6280に2chのADPCMが追加されたもの セタ&アルュメX1-010最大同時発音数:16チャンネル (それぞれPCMと選択可能) 量子化ビット数:8bit (256段階) ループあたりのサンプル数:128 初期はドライバのバグで波形メモリ音源機能が使えず、PCMのストリーミング再生をするしかない作品もあった『ツインイーグル』、『メタフォックス』、『レゾン』、『ブランディア』など 後期の作品では改善され、波形メモリとPCMのハイブリッド音源として使用された『目撃』、『ウォーオブエアロ』、『大王』、『仮面ライダー倶楽部 バトルレーサー』など アタックをPCM、ディケイを波形メモリで鳴らすという手法をブラス、ギターなどの音色に採用している作品もある バンダイワンダースワン最大同時発音数:4チャンネルチャンネル2はPCMと選択 チャンネル3はスイープ効果を適用可能 チャンネル4はノイズと選択 量子化ビット数:4bit (16段階) ループあたりのサンプル数:32 参考作品 パックマン (任意波形発生回路) マッピー (C15) ドルアーガの塔 (C15) バブルシステムより「コナミモーニングミュージック」 (AY-3-8910 * 2 + 005289) グラディウス (AY-3-8910 * 2 + 005289) バラデューク (C30による"I'm your FRIEND"などの発声) スカイキッド (C30) トイポップ (C15) ドラゴンスピリットより「エンディング」 (YM2151 + C30 (ステレオ有効)) グラディウス2 (PSG + SCC) スナッチャー (MSX2版)(PSG + SCC+) フェリオス (YM2151 (*9) + C140)(リズム音色などの一部を除くほとんどの音色をC140の単純波形方式で発音) 女神転生II (RP2A03 + N160) ナックルヘッズより「Hong-Kong etoile」 (C219)(ループ開始部のヒット、リズム音色などを除き単純波形方式で発音) まじかるスピード (X1-010 (ベース、ドラム、パーカッション、オーケストラヒット以外が波形メモリ音源での発音)) 関連リンク バンダイナムコ知新「第8回 第1章 ナムコサウンドの足跡をたどる【前編】」 バンダイナムコ知新「第8回 第1章 ナムコサウンドの足跡をたどる【後編】」任意波形発生回路、カスタム15、カスタム30についての詳しい解説が掲載されている バンダイナムコ知新「第8回 第2章ナムコサウンドの発展の足跡を追う【前編】」カスタム30からカスタム140を開発するに至った経緯について掲載されている
https://w.atwiki.jp/bambooflow/pages/71.html
波形ツール(GTKWave) 波形ツール(GTKWave)インストール 実行(VCDファイル)実行画面 その他 とりあえず、フリーの波形ツールgtkwaveを使う。 GTKWave Analyzer GTKWaveで表示できるのは、VCD,LXT,LXT2,VZT,GHW。 詳細な使い方はGTKWaveのユーザーズガイド(.pdf)を参照。 インストール $ ./configure $ make # make install 実行(VCDファイル) $ gtkwave dump.vcd とりあえず、添付ファイルでも実行してみれば。 sample.tgz 実行画面 その他 コマンド 説明 使い方 gtkwave [option]... [DUMPFILE] [SAVEFILE] [RCFILE] VCD,LXT,LXT2,VZT,ファイルの波形表示 gtkwave dump.vcd twinwave arglist1 + arglist2 1つのウィンドウで複数示 twinwave file1.vcd fil1.sav + file2.vcd file2.sav lxt2miner [option]... [LXT2FILE] LXT2ファイルのデータ検索 lxt2miner dumpfile.lxt2 --hex 20470000 -n lxt2vcd filename LXT2ファイルからVCDへの変換 lxt2vcd file.lxt mvl2lxt filename.aet filename.lxt MVLSIM AETファイルからLXTへ変換 mvl2lxt file.aet file.lxt mvl2vcd filename.aet MVLSIM AERファイルからVCDへ変換 mvl2vcd file.aet rtlbrowse stemsfilename Verilog HDLソースコードとライブラリ ファイルの階層表示 tex2vcd filename.aet TEXSIM AETファイルからVCDへ変換 tex2vcd file.aet tla2vcd [option]... TLAFILE [[TLAFILE]...] TLA(Tektronix Logic Analyzers)からVCDもしくはLSTファイルへ変換 tla2vcd [option] ... tlafile [tlafile ...] vcd2lxt [VCDFILE] [LXTFILE] [option]... VCDファイルからLXTファイルへ変換 vcd2lxt2 [option]... [VCDFILE] [LXTFILE] VCDからLXT2ファイルへ変換 vcd2vzt [option]... [VCDFILE] [VZTFILE] VCDファイルからVZTファイルへ変換 vermin [VERILOGFILE]... [option]... Verilof-HDLファイルを解析し処理する vzt2vcd filename VZTファイルからVCDファイルへ変換 vztminer [option]... [VZTFILE] VZTファイルの検索 vztminer dumpfile.vzt --hex 20470000 -n shmidcat [VCDFILE] 共有メモリTrampoline shmidcat file.vcd gtkwave -v -I file.sav
https://w.atwiki.jp/souhei_world/pages/2334.html
エーテル波形記録魔導器 [解説] 魔素残滓検知鏡で使用される外部記憶装置。 観測したエーテル残滓を波形として紙媒体に描写する形で記録する。ちなみに魔素残滓検知鏡には観測したエーテル残滓を記録する機能は無い為、波形の記録にはこの魔導器が必須である。
https://w.atwiki.jp/dmori/pages/73.html
VCSから波形ファイルを出力する概要 動作確認 テストコードパタン1 ソースコードファイル1 ファイル2 VCSから波形ファイルを出力する 概要 (詳細記述予定) 動作確認 ツール バージョン 結果 NC-Verilog 未確認 VCS-MX 未確認 ModelSim 未確認 Cver 未確認 テストコード (概要を記述予定) (実行方法を記述予定) パタン1 (パタン内容を記述予定) initial begin //verilogテストパタン1 end (実行結果1) ソースコード ファイル1 ///////////////////////////////////// // 波形出力 // ///////////////////////////////////// initial begin // .fsdb file (verdi) // +vcsd -P ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/vcsd.tab \ // ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/pli.a \ // +define+FSDB_ON `ifdef FSDB_ON $fsdbDumpfile("wave.fsdb"); $fsdbDumpvars(0,top); `endif // .vpd file (dve) // -debug_pp +define+VPD_ON `ifdef VPD_ON $vcdplusfile ("wave.vpd" ); $vcdpluson(); `endif end (概要を記述予定) ファイル2 (verilogソースコード2) (概要を記述予定) 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/wsd08ricoh/pages/39.html
【標準終了時刻】
https://w.atwiki.jp/pan_oboegaki/pages/64.html
簡易波形観測機(H8とMacのOSX) (オシロもどき?) H8のADを使用しているので音程度の周波数までしか無理。 DCも見えるので、マイク端子を使うよりは便利? 横軸は3段階 縦軸は固定 2チャンネル入力 H8ソフト 110122_H8OSC.tar.gz OSXソフト 110122_h8osc0_0.tar.gz.aa 110122_h8osc0_0.tar.gz.ab termios.hではBAUDRATEはc_cflagに入れる、的な情報があったので そのようにしていたがうまくいかず。 いろいろ試した結果、c_ispeedとc_ospeedに直接入れると成功。 何の情報が正しいのかはわからないが、とりあえずこの環境ではこれでうまくいく。 作成環境: MacBook(Intel) MacOS 10.4 USB-RSAQ3(cu.usbserialとして接続)
https://w.atwiki.jp/space_escalator/pages/79.html
USRP2で送った波形には送信するビット列によっては歪みが生じる 以下の結果は全て100kbit/secのビットレートで送っている(bpsk)。 歪みが生じない場合 全て1のビット列を送った場合 送信ビット列が10の繰り返し信号を送った場合 歪みが生じる場合 送信ビットが111000の繰り返し信号を送った場合
https://w.atwiki.jp/fumiduki1985/pages/190.html
オーディオデータの波形をNUKE上で表示したい場合、下記のようにして行う。 (AudioReadノードで正常にオーディオデータが読み込めていることが前提) Curve EditorまたはDope Sheetで右クリック→View→Audio→Sourceで波形を表示したいAudioReadノードを選択する。 同様に、右クリックメニュー→View→Audio→Channelで表示したいチャンネルを選択する。 同様に、右クリックメニュー→View→Audio→Draw StyleでOff以外を選択する。 これでCurve EditorまたはDope Sheet上に波形が表示される。 ちなみに、それぞれのDraw Styleでは下記のように表示される。 Draw Style 表示方法 Off 波形を表示しない Behind Curve EditorやDope Sheetの表示内容の奥に波形を表示する Below Curve EditorやDope Sheetを上下に分割した下側に波形を表示する このページのタグ一覧 NUKE
https://w.atwiki.jp/funatti/pages/43.html
実行結果 player2.zip 操作方法 ZIPファイルを解凍したら、index.htmlを実行してください。 アプレットを実行すると、音楽が流れます。 アプレット上に再生中の部分の波形が表示されます。 フォルダに入っているmusic.mp3を変更すると、音楽が変わります。 解説 minimライブラリをインポートして、音楽再生を実現しています。 ソースコード import ddf.minim.*; Minim minim; AudioPlayer music; void setup(){ size(512, 200, P3D); minim = new Minim(this); music = minim.loadFile("music.mp3"); music.loop(); } void draw(){ background(0); stroke(255); for ( int i = 0; i music.bufferSize() - 1; i++ ){ float x1 = map(i, 0, music.bufferSize(), 0, width); float x2 = map(i+1, 0, music.bufferSize(), 0, width); line(x1, height/4 - music.left.get(i)*50, x2, height/4 - music.left.get(i+1)*50); line(x1, 3*height/4 - music.right.get(i)*50, x2, 3*height/4 - music.right.get(i+1)*50); } } void stop(){ music.close(); minim.stop(); super.stop(); }
https://w.atwiki.jp/ko-suke/pages/49.html
Sim simulationのしかたsimの仕様書重要 無害確認用の全て自動チェックできるsim必須 作っておいたほうがよさそうなものもっと柔軟な入力データ発生モデル ↑とあわせてそのデータと出力データ等の検証モデル verilogでがつがつ書くよりも、ツールを使ったほうが効率はよさそうmatlab:よさそうだが高い! 波形のフォーマットvcd(Value Change Dump) modelsimのやつはなんだっけ? Verilog system task$recovery ???そもそも基板が出来ていれば、機能テストはそっちでやったほうがはるかに速いし、早い(?)。市販の開発ボードのようなものを↑のように使えるか?